Постпроизводственное тестирование комбинационной логики. Тестирование логики

Постпроизводственное тестирование комбинационной логики. Тестирование логики

Пакет Tessent предлагает целый набор различных средств для внедрения в микросхемы цепей тестирования и самотестирования комбинационной логики.

Генерация тестов Tessent FastScan

Система автоматической генерации тестов для заказных и полузаказных СБИС с полным сканированием или высоким процентом сканирования.
Наряду с моделями константных неисправностей FastScan поддерживает модели переходных режимов, сбоев частоты переключения, превышения допустимой задержки, отклонения тока в установившемся режиме (IDDQ) и другие модели.

FastScan обладает очень высокой скоростью генерации тестов и встроенными статическим и динамическим алгоритмами сжатия тестовых векторов, что позволяет инженерам сократить цикл разработки вплоть до нескольких дней и даже часов.

Поддержка встроенных генераторов синхросигналов позволяет тестировать кристаллы на рабочей частоте, даже в случае, если эта частота не поддерживается тестовой аппаратурой.

IEEE 1687 (IJTAG) Tessent IJTAG

Для систематизации сложных требований к тестированию разнородных наборов встроенных IP блоков, был создан IEEE 1687 (IJTAG). Он стандартизирует язык, описывающий интерфейс IP и то, как IP-блоки соединяются между собой. Он также вводит в употребление язык, определяющий, каким образом должны быть описаны тестовые вектора. IEEE 1687 определяет чёткую грань между тем, что должно быть описано в стандарте, и тем, что лучше оставить на усмотрение разработчиков ПО.

Tessent IJTAG упрощает объединение любого количества IP блоков, совместимых с IEEE 1687 в интегрированную иерархическую сеть, и передавать команды отдельным блокам из единой точки доступа. Пользователю не обязательно детально знать IEEE 1687, т. к. Tessent IJTAG сам найдёт оптимальное решение для проекта, совместимого с IEEE 1687.

Встроенное самотестирование логики Tessent LogicBIST

Tessent LogicBIST - это средство синтеза встроенных структур самотестирования логических схем СБИС. Выполняет функции анализа тестопригодности логических схем, проверки проектных норм тестопригодности, генерации структур самотестирования и контрольных точек, моделирования неисправностей и генерации сигнатур.
Широкие отладочные возможности обеспечиваются за счёт графического анализа результатов тестирования и возможности генерации промежуточных сигнатур.

Внедрение цепей сканирования и анализ тестопригодности Tessent Scan/ScanPro

Пакеты Tessent Scan и Tessent ScanPro предназначены для анализа проектов на тестопригодность и внедрения в них цепей сканирования. Tessent ScanPro отличается от Tessent Scan наличием технологий, улучшающих эффективность тестовых векторов, что снижает общее время постпроизводственного тестирования готовых микросхем в несколько раз

Внедрение цепей сканирования и анализ тестопригодности Tessent Scan

Tessent Scan внедряет в нетлист тестирующие структуры, делая проект полностью пригодным для тестирования путём сканирования и компрессии тестов.

Tessent Scan генерирует и внедряет наиболее эффективную для вашего проекта архитектуру, повышая качество автоматически генерируемых тестов - ATPG. Tessent Scan выполняет замену несканируемых элементов на сканируемые, их соединение, анализ схемы на потенциальные ограничения при тестировании, выполняет проверку тестопригодности, а также автоматически исправляет ошибки.

Внедрение цепей сканирования и анализ тестопригодности, ускорение тестирования Tessent ScanPro

Tessent® ScanPro включает в себя весь функционал Tessent Scan плюс дополнительные функции, ускоряющие тестирование. Tessent ScanPro содержит уникальную методику создания и интеграции тестовых точек, направленную на уменьшение объёма автоматически сгенерированных тестовых векторов. Технология EDT Test Point обычно улучшает сжатие тестовых векторов в 2 – 4 раза и эффективна для всех типов моделей неисправностей.

Сжатие тестовых векторов Tessent TestKompress

Система комбинированного тестирования СБИС, состоящая из модуля генерации тестов и встроенных схем распаковки тестовых последовательностей и сжатия результатов тестов. Использует запатентованную технологию встроенного детерминированного тестирования EDT (Embedded Deterministic Test), которая позволяет существенно сократить объем внешних тестовых векторов (до 100 раз) без потери качества тестирования.

Встроенный декомпрессор обеспечивает загрузку большого числа тестов для внутренних цепей сканирования через небольшое число внешних каналов.

Встроенный "компактор" обеспечивает избирательное сжатие и вывод из кристалла результатов тестирования.

Обе схемы генерируются в виде RTL-кода на VHDL или Verilog, синтезируемого в вентильную схему, не затрагивающую работу критических цепей основного проекта, что является принципиально необходимым требованием при тестировании субмикронных СБИС.

Тестирование, диагностика и исправление памяти Tessent MemoryBIST

Tessent MemoryBIST автоматизирует процесс включения в проект структур для самотестирования встроенной памяти СБИС. Решение является полностью иерархическим, и помимо основной функции позволяет также работать с функциями самовостановления, которые могут быть добавлены как к индивидуальным ядрам, так и ко всему проекту на верхнем уровне.